哈哈,跟着老师写了个四按键控制四个流水灯四中状态,一次性搞好,感觉很棒。
哈哈哈

module key_led( input

wire clk, input

wire rst_n, input

wire [3:0] key,

output reg [3:0] led ); //选择一个0.2秒的时钟,10_000-000次26位 reg [23:0] cnt;//定义状态计时器 reg [1:0] flag;//三个状态,2个位宽,0-1-2-3always@(posedge clk or negedge rst_n)begin

if (!rst_n)

cnt <= 24'b0; else if (cnt==24'd10_000_000-1)

cnt<=24'b0; else cnt <=cnt + 1'b1;end//根据0.2秒计时器切换状态计数器always@(posedge clk or negedge rst_n)begin

if (!rst_n)

flag <= 2'b0; else if (cnt==24'd10_000_000-1)

flag<=flag + 1'b1; else

flag <=flag;end//根据按键控制不同状态下的ledalways@(posedge clk or negedge rst_n)begin

if (!rst_n)

led <= 4'b0000; else if (!key[0])begin

case (flag)

2'd1

:

led<=4'b0001;

2'd2

:

led<=4'b0010;

2'd3

:

led<=4'b0100;

2'd4

:

led<=4'b1000;

default

:

led<=4'b0000;

endcase end else if (!key[1])begin

case (flag)

2'd1

:

led<=4'b1000;

2'd2

:

led<=4'b0100;

2'd3

:

led<=4'b0010;

2'd4

:

led<=4'b0001;

default

:

led<=4'b0000;

endcase end else if (!key[2])begin

case (flag)

2'd1

:

led<=4'b1111;

2'd2

:

led<=4'b0000;

2'd3

:

led<=4'b1111;

2'd4

:

led<=4'b1000;

default

:

led<=4'b0000;

endcase end else if (!key[3])

led<=4'b1111;

else led<=4'b0000;endendmodule https://t.cn/E2P9Ssz

#RansomEXX勒索软件攻击厄瓜多尔CNT电信公司
https://t.cn/A6f8cOMu
厄瓜多尔的国营企业 Corporación Nacional de Telecomunicación (CNT) 遭受勒索软件攻击,导致业务运营、支付门户和客户支持中断。CNT是厄瓜多尔的国营电信运营商,提供固定电话服务、移动、卫星电视和互联网连接。从本周开始,CNT 网站开始显示警报警告,称他们遭受了攻击,并且无法再访问客户服务和在线支付。研究人员了解到这次攻击是由名为 RansomEXX的勒索软件团伙造成的,研究人员分享了该团伙的数据泄露站点的隐藏链接,该链接警告CNT,如果CNT不支付赎金,该团伙将泄露在攻击期间窃取的数据。

#新航线##转发有壕礼#
为满足广大旅客暑期出行需求,让我们大西北的童鞋近距离的感受一下醉美苗乡,近期,凯里黄平机场将开通贵阳=凯里=西安航线。现在只要关注@凯里黄平机场 和 @多彩贵州航空 并转发这条微博,6月22号将抽奖送出由多彩贵州航空提供的:定制小机模1个,飞机公仔2个,精美钥匙扣3个。也可通过关注凯里黄平机场公众号也有详细信息哦!!@黔东南信息港

@黔东南头条#CNT云旅行##贵州旅行# #黔东南旅游# #黔东南#[ @贵州都市报 @贵州旅游资讯网 @多彩贵州网 @黔东南发布 @黔东南信息港 @黔东南新闻网官方微博 @贵州省黔东南州文体广电旅游局 https://t.cn/A6LpBGCN


发布     👍 0 举报 写留言 🖊   
✋热门推荐
  • 林深养的猫失踪过一段时间,后来也费了好大力才找回来。把它重新接回来的时候林深其实是有点纳闷的——怎么还是小小一只?除了毛换了好几轮,大小真的看起来和之前没什么区
  • 一个人,就是一行流动隽美的诗,一首忧伤入心的歌,一朵静默绽放的花。淡然人生,用爱穿越岁月的风雨。人生并不完美,诸多辛酸,诸多幽怨,诸多抱憾;人生总也完美,诸多微
  • #每日一善[超话]#[哈哈]#阳光信用# 相信梦想是价值的源泉,相信眼光决定未来的一切,相信成功的信念比成功本身更重要,相信人生有挫折没有失败,相信生命的质量来
  • 2020.12.20 星期日 天气晴 虽然短短一天,但是今天发生了太多美好的事情,每一个片段我都想一字一字的记录,缘起小伍,因起小伍,一切都是小伍。 1.见亲戚
  • 王博这脑袋一读书就自动宕机,可在这种情况下却能运转的飞速几乎是在肖肖神色一变的瞬间就猜到了手机的下场,也顺便想好了要怎么逗逗这只笨笨的小兔子他双手环抱在胸前,冷
  • 不同职业,都在努力做着优秀的事情,见证不同的活法,我的女孩们太优秀了。文林说这几个月来度过了最温暖的下午,庞兄说他的儿子还有机会成为我的学生。
  • #十二生肖#我终于嫁给了我爱的人 爱我的人,以前老是会幻想以后我会嫁给一个什么样的人,到底什么样的人才会包容我的小气 不在乎我的原生家庭 可以随便我翻看手机不生
  • 我为了家庭工作的时候,也能看到你的担当和负责;我在规划未来的时候,你也能一起付出努力;我委屈难过的时候,能感受到你真切的爱和关怀……付出的程度无法对等,便失去了
  • 生活有苦有悲,有喜有乐,有成功,也有失败,烦恼其实有源自于自己的内心,心结在自己心里,要怎么打开,就看自己怎么去对待,所有的事情都不必抱怨,唯有心平气和去接受,
  • 通过公司的方案,60平的店都干的过120平的营业额,这是你想要的吗?别家公司的设计图,都要花钱的,大概1~2万图纸,我们公司免费设计!
  • 像我这么佛系的,几乎从来不关注娱乐圈的,可能是他粉丝里最不了解他的,但一定是最喜欢他的[允悲][允悲][允悲]我知道他是满族,最早就是因为这个才关注他的。你真真
  • ——图片致谢@艺术粮仓ArtGranary #西岸艺术与设计博览会# #WESTBUND# #北京·艺术粮仓美术馆[地点]##IPFS[超话]#Filecoin
  • 但是这一次他们这个所谓的升级版让我刮目相看,完全是一个新的感觉,除了他们滋养皮肤,这个双抗的概念在成分也在有了提升,其他我觉得从化妆的角度,是新维度的一个底妆产
  • ★G22青兰高速临吉段,临汾至吉县方向,光华与乡宁收费站之间K992+877处,一辆大巴车故障,占用应急车道,不影响道路通行。短期施工:★G20青银高速太旧段:
  • P1:“像我这样的人,你觉得有活下去的价值吗?我所失去的未免太多了,但是,我从天志那里得到的,也是在非常多……”P9:“可是还请你不要责备他。
  • Today 晴天 中午专门绕路来洗车因为洗车小店是位聋哑阿姨和残疾叔叔开的每次一下车阿姨就会招呼屋里坐 有个大哥比我来的晚但赶时间只需要冲一下车外 阿姨很诚
  • 8⃣️之前因为教主没有看这部,幸好没错过,良心剧,教主的演技也在线,正午阳光在选角、武打场面和剧情设计上用心了[good]有电影的排场9⃣️个人感觉比第一部更为
  • 哪怕你爱我只有5分,那你也不会因为自己的错误和我分手。论把我很讨厌的“服了”变成我俩的口头禅 [可爱]我叫憨憨一声拉屎大王没毛病吧~今天是我的生日,我去了天坛。
  • 而“神”恰是不“客观”的,不“科学”的,是纯主观的、情绪化的。人说艺术是闲出来的,老聃无为,所以能达玄达妙;禅师无事,所以虽行千里而未尝动步。
  • 首先说p1画的挺好的,这老哥绘画一直可以的,能力在创里就得到了一些展现,但是比较像是一副合作作品,比如我和我的美术老师。又来西岸玩了,还记得去年的“时间的形态”