#这个单词还有这个意思!#
quote [kwəʊt] n. & v. 报价
If you’re looking for cheap shipping to China from the US, shopping around for quotes takes time, but our price comparison service will find you the best prices in just seconds. 如果你正在寻找从美国运往中国的实惠快递,四处问价需要时间,但我们的比价服务将在几秒钟内为你找到最佳价格。
Always get a written quote for any repairs needed. 对任何所需的修理都索取一份书面报价。
In early trading in Hong Kong yesterday, gold was quoted at $368.20 an ounce. 昨天在香港早市的交易中,黄金被报出每盎司$368.20的牌价。
quote [kwəʊt] n. & v. 报价
If you’re looking for cheap shipping to China from the US, shopping around for quotes takes time, but our price comparison service will find you the best prices in just seconds. 如果你正在寻找从美国运往中国的实惠快递,四处问价需要时间,但我们的比价服务将在几秒钟内为你找到最佳价格。
Always get a written quote for any repairs needed. 对任何所需的修理都索取一份书面报价。
In early trading in Hong Kong yesterday, gold was quoted at $368.20 an ounce. 昨天在香港早市的交易中,黄金被报出每盎司$368.20的牌价。
effeminate /ɪˈfɛmɪnɪt/ TEM8
ADJ If you describe a man or boy as effeminate, you think he behaves, looks, or sounds like a woman or girl.
娘娘腔的; 女人气的表不满
e.g The image of a skinny, effeminate guy in lipstick and earrings will be boycotted in the screen.
抹口红、戴耳环,骨瘦如柴的娘娘腔男人的形象将会被大荧屏抵制。
p.s 虽然但是,#宝宝的少女心# 现在流行强0了,您所谓的娘炮类型早就过时了噢,忘周知。
#北京广电局要求杜绝娘炮审美取向#
ADJ If you describe a man or boy as effeminate, you think he behaves, looks, or sounds like a woman or girl.
娘娘腔的; 女人气的表不满
e.g The image of a skinny, effeminate guy in lipstick and earrings will be boycotted in the screen.
抹口红、戴耳环,骨瘦如柴的娘娘腔男人的形象将会被大荧屏抵制。
p.s 虽然但是,#宝宝的少女心# 现在流行强0了,您所谓的娘炮类型早就过时了噢,忘周知。
#北京广电局要求杜绝娘炮审美取向#
哈哈,跟着老师写了个四按键控制四个流水灯四中状态,一次性搞好,感觉很棒。
哈哈哈
module key_led( inputwire clk, inputwire rst_n, input wire [3:0] key,output reg [3:0] led ); //选择一个0.2秒的时钟,10_000-000次26位 reg [23:0] cnt;//定义状态计时器 reg [1:0] flag;//三个状态,2个位宽,0-1-2-3always@(posedge clk or negedge rst_n)begin if (!rst_n) cnt <= 24'b0; else if (cnt==24'd10_000_000-1) cnt<=24'b0; else cnt <=cnt + 1'b1;end//根据0.2秒计时器切换状态计数器always@(posedge clk or negedge rst_n)begin if (!rst_n) flag <= 2'b0; else if (cnt==24'd10_000_000-1) flag<=flag + 1'b1; elseflag <=flag;end//根据按键控制不同状态下的ledalways@(posedge clk or negedge rst_n)begin if (!rst_n) led <= 4'b0000; else if (!key[0])begin case (flag) 2'd1 : led<=4'b0001;2'd2 : led<=4'b0010;2'd3 : led<=4'b0100;2'd4 : led<=4'b1000; default : led<=4'b0000;endcase end else if (!key[1])begin case (flag) 2'd1 : led<=4'b1000;2'd2 : led<=4'b0100;2'd3 : led<=4'b0010;2'd4 : led<=4'b0001; default : led<=4'b0000;endcase end else if (!key[2])begin case (flag) 2'd1 : led<=4'b1111;2'd2 : led<=4'b0000;2'd3 : led<=4'b1111;2'd4 : led<=4'b1000; default : led<=4'b0000;endcase end else if (!key[3])led<=4'b1111; else led<=4'b0000;endendmodule https://t.cn/E2P9Ssz
哈哈哈
module key_led( inputwire clk, inputwire rst_n, input wire [3:0] key,output reg [3:0] led ); //选择一个0.2秒的时钟,10_000-000次26位 reg [23:0] cnt;//定义状态计时器 reg [1:0] flag;//三个状态,2个位宽,0-1-2-3always@(posedge clk or negedge rst_n)begin if (!rst_n) cnt <= 24'b0; else if (cnt==24'd10_000_000-1) cnt<=24'b0; else cnt <=cnt + 1'b1;end//根据0.2秒计时器切换状态计数器always@(posedge clk or negedge rst_n)begin if (!rst_n) flag <= 2'b0; else if (cnt==24'd10_000_000-1) flag<=flag + 1'b1; elseflag <=flag;end//根据按键控制不同状态下的ledalways@(posedge clk or negedge rst_n)begin if (!rst_n) led <= 4'b0000; else if (!key[0])begin case (flag) 2'd1 : led<=4'b0001;2'd2 : led<=4'b0010;2'd3 : led<=4'b0100;2'd4 : led<=4'b1000; default : led<=4'b0000;endcase end else if (!key[1])begin case (flag) 2'd1 : led<=4'b1000;2'd2 : led<=4'b0100;2'd3 : led<=4'b0010;2'd4 : led<=4'b0001; default : led<=4'b0000;endcase end else if (!key[2])begin case (flag) 2'd1 : led<=4'b1111;2'd2 : led<=4'b0000;2'd3 : led<=4'b1111;2'd4 : led<=4'b1000; default : led<=4'b0000;endcase end else if (!key[3])led<=4'b1111; else led<=4'b0000;endendmodule https://t.cn/E2P9Ssz
✋热门推荐