library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity countdown is
port( clk50m,rst,key:in std_logic;

dig:out std_logic_vector(4 downto 1);

seg:out std_logic_vector(6 downto 0);
led:out std_logic

);
end countdown;
architecture ach of countdown is
component countN is
generic(

n:integer:=100
);
port(

clk,rst,en:in std_logic;

qdata:out integer ;

cout:out std_logic


);
end component;
component freqN is
generic (
n:integer:=100
);
port(
clk,rst:in std_logic;
clkout:out std_logic
);
end component;
component mypll IS
PORT
(
areset : IN STD_LOGIC := '0';
inclk0 : IN STD_LOGIC := '0';
c0 : OUT std_logic;
locked : OUT STD_LOGIC
);
END component;
component cd is
port(clk,rst:in std_logic;

qh,ql:buffer integer range 0 to 9

);
end component;
component ledShow is

port(

q:in integer;

seg:out std_logic_vector(6 downto 0)
);
end component;
signal clk1m,clk1k,clk1s,locked:std_logic;
signal qh,ql:integer;
signal qcnt,qshow:integer;
begin
u0:mypll port map(not rst,clk50m,clk1m,locked);
u1:freqn generic map(1000000)

port map(clk1m,locked,clk1s);
u2:freqn generic map(1000)

port map(clk1m,locked,clk1k);
u3:cd port map(clk1s,key,qh,ql);
u4:countn generic map(4)

port map(clk1k,locked,'1',qcnt);
qshow<=qh when qcnt=1 else

ql when qcnt=0 else

10;
dig<="1110"when qcnt=0 else

"1101" when qcnt=1 else

"1111";
u5:ledshow port map(qshow,seg);

led<='0' when qh=0 and ql=0 else

'1';

end ach;
library ieee;
use ieee.std_logic_1164.all;
entity cd is
port(clk,rst:in std_logic;

qh,ql:buffer integer range 0 to 9

);
end cd;
architecture ach of cd is
begin
process(clk,rst)

begin

if rst='0' then
qh<=6;
ql<=0;
elsif rising_edge(clk) then

if ql=0 then

ql<=9;

if qh=0 then

qh<=0;

ql<=0;

else

qh<=qh-1;

end if;

else

ql<=ql-1;

end if;
end if;
end process;

end ach;
--input number 0~9, and output abcdefg for digital tube
library ieee;
use ieee.std_logic_1164.all;

entity ledShow is

port(

q:in integer;

seg:out std_logic_vector(6 downto 0)
);
end ledShow;

architecture ach of ledShow is
begin
with q select

seg<="1000000" when 0 ,

"1111001" when 1 ,

"0100100"when 2 ,

"0110000"when 3 ,

"0011001"when 4 ,

"0010010"when 5 ,

"0000010"when 6 ,

"1111000"when 7 ,

"0000000"when 8 ,

"0010000" when 9 ,

"1111111" when others;

end ach;
--任意整数分频

library ieee;
use ieee.std_logic_1164.all;
--实体
entity freqN is
generic (
n:integer:=100
);
port(
clk,rst:in std_logic;
clkout:out std_logic
);
end freqN;
--结构体
architecture ach of freqN is

--任意进制计数器元件例化声明
component countN
generic(

n:integer:=100
);
port(

clk,rst,en:in std_logic;

cout:out std_logic;

qdata:out integer
);
end component;

signal qdata:integer:=0;
signal cout:std_logic;
begin

--n进制计数器例化

u0:countN generic map(n)

port map(clk,rst,'1',open,qdata);


--

clkout<='1' when qdata--

'0';

process(rst,clk)
begin

if rst='0' then

clkout<='0';

elsif rising_edge(clk) then

if qdata=0 then

clkout<='0';

else

clkout<='1';

end if;

end if;
end process;
end ach;
library ieee;
use ieee.std_logic_1164.all;
--实体任意进制计数器
entity countN is
generic(

n:integer:=100
);
port(

clk,rst,en:in std_logic;

qdata:out integer;

cout:out std_logic
);
end countN;
--结构体
architecture ach of countN is

--任意进制计数器元件例化声明
signal qtmp:integer:=0;

begin

process(rst,clk) --敏感信号
begin
if rst='0' then

qtmp<=0;

cout<='0';
elsif rising_edge(clk) then

if en='1' then

if qtmp=n-1 then

qtmp<=0;

else

qtmp<=qtmp+1;

end if;


if qtmp=n-2 then


cout<='1';

else

cout<='0';

end if;

end if;
end if;
-- if qtmp=n-1 then
--

cout<='1';
-- else
--

cout<='0';
-- end;
end process;
qdata<=qtmp;

end ach;

#乔治娜[超话]#C罗妈妈对晨间新闻不断造谣儿子C罗儿媳乔治娜及整个家族

决定发律师函
doloresaveiroofficial声明
我想代表我自己和我的家人(Aveiro家庭)交流
其中包括我儿子@cristiano的妻子@georginagio,我儿子
Hugo的妻子Rubina,我的女婿Alexandre,
@katiaaveirovenicial的丈夫,即我的4个孩子我和11个孙子声明
今天,2023年5月16日,我呼吁我的律师为我的家庭和他们在我生命中所代表的一切洗清我的好名声。
一家著名报纸刊登了一则新闻
portugues(报纸,因此使用和滥用我的家庭的名字来宣传自己)not i cia essa,假诽谤和at e e macabra,它谈到了可怕的行为,我可能会
为了夺走我一个孩子的幸福,这个calunia是假的
毫无根据的...
我的好名声永远不会被扔在大街上
公共,我永远不会允许一个来源
反专业信息请使用我的名字。我将到最后的结果,不仅是为了保护我自己和我的人民,但我也将证明来源,文字和文字,到目前为止说,
他们完全是恶意的,毫无根据的。喜欢……能跟踪我和尊重我的人n的只有我和我要特别注意,如果说话和写作,有什么罪过,免费航班,这种不健康的伤害,会伤害我们
当涉及到我们最宝贵的资产——家庭时,他们不再束缚我。
我的孙辈们,有些人,已经在倾听人民和批评。
正因为如此,我不会放弃,除非这份报纸能证明今天所写的一切。
谢谢你。
阿威罗家庭

【小瑶9分雅思口语• Part I• 高分范例】
亲手烹制•请勿转载
Part I:Is punctuality important?
(守时重要嘛?)
Yes, super! I think we should be punctual in any given situation. For example, for job interviews. If you were able to arrive on time, you could make a good impression. By being punctual, you are letting employer know that you are reliable and not tardy. When meeting up with a friend, we often set an appointed time. It’s best if we can honor that time, because that shows that we respect the person we are meeting up with. Personally, I think being late once is OK, but being late on a regular basis only means disrespect.

▲in any given situation 在任何情况下
▲make a good impression 留下好印象
▲reliable adj. 可靠的;靠谱的
▲tardy adj. 磨磨蹭蹭的,迟到的
▲an appointed time 约定时间
▲be on time 按时到达
▲the rush hours 高峰时间段
▲a regular basis 经常
▲disrespect n. 不尊敬
#小瑶每日真题演示##雅思# #决战雅思#


发布     👍 0 举报 写留言 🖊   
✋热门推荐
  • #LoveisLove#LoveisWins这周六本来想吃点别的,结果已经去了闵行的舍友买龙虾的时候没改地址[汗],倒是趁机把之前没去电影院看《花束般的恋爱》补
  • 同样是一颗心,有的能装下高山,有的能装下大海,有的却只能装下一己之悲欢。同样是一颗心,有的能装下高山,有的能装下大海,有的却只能装下一己之悲欢。
  • 在保持了哈弗赤兔原有的年轻化、智能化的优势的基础上,油耗降低续航提升,让你不必再为加油emo。再加上激擎版同款的底盘调校,可以说是在一定的经济条件下极大满足了年
  • [good][小红花][挤眼]想起来昨晚好像做了一个很好很好的梦,几百年没遇到过的程度。六点多突然醒的时候还记得梦的内容并开心了好久,后来迷迷糊糊又昏迷过去,再
  • 请圣班:臣等黙运真香,虔诚上启:虚无自然,太清仙境,留演圣教,大罗道德天尊。三宝香:稽首归依道,道在杳冥中,恍惚开祖劫,混沌理难穷,愿烧道宝香,道宝香生生常供养
  • 或许,当你发唠嗑视频把我从负面情绪中拯救出来的时候、当你在舞台上稳定发挥把自己的魅力展现得淋漓尽致的时候、当你在物料中不经意一个举动把我逗笑的时候、当你体验完分
  • 四、总建面27.17万方,南明区雨高桥城更项目新动态1月30日,贵州省招标投标服务平台发布南明区雨高桥东宝花园周边地块城市更新项目(一期)(设2020年10月,
  • 哇偶[憧憬]这个护肤四件套真的很难不心动诶!【68】Cath Kidston 玫瑰护肤4件套到手:沐浴露+沐浴盐+身体乳+护手霜,要是平时皮肤干燥粗糙、暗沉没有
  • 仅仅8分钟的时间,考生顺利抵达学校并成功拿取准考证,在返回考场的路上张朝阳还不忘安抚考生的情绪。女王大人六月:速度买了两件,还想买两件哈哈哈一年一度的高考对于考
  • 遇到了你,我信了情,信了缘,也真实体会到了爱的滋味。只有你才能让我如此的心动,让我爱意绵绵,让我珍惜你我的这份情和意!
  • #周深[超话]##周深的星星许愿池#晚风伴着星月潜入,漫天闪烁细碎的美好,今天的秋如此温柔,缱绻在我们回忆。不会我教你啊我想和一起喝奶茶,一起听音乐,一起趁着日
  • 我们都知道他有多忙,日程有多紧,会有时间看这些资料吗?令人意想不到的是,拍摄时我们发现,导演为他准备的资料他都用心看过了,他的用功从表演中都透了出来。上午做了核
  • 新的MINI John Cooper Works GP在2019年洛杉矶车展上首次向公众展示,随后在牛津的MINI工厂限量生产了3,000辆自发动力,无限向前推
  • 今天早上起床前,我再一次问自己,为了一个烧饼,抛弃我的床和被子,这真的值得吗?我曾经试做另外一个梦,然而,我却失败了,我终于明白,我的梦只属于那个离去的烧饼。
  • 他也丝毫不吝啬地向我们展示很多的景区照片与所写游记,在讲解进程中我清晰得感受到,槟郎从来不是以一个上帝的俯视角度去指点这些景区的内容,而以一个旅游者的视角,怀揣
  • #时装highlight##MARNI2023春夏系列# 于纽约标志性建筑曼哈顿桥下的隧道中亮相,唯美永恒的落日余晖是本场的灵感缪斯。设计师以高饱和度色彩的碰撞
  • 当辨证的看待问题的时候…好像更没有答案了,但有一点,曾经很喜欢分享感受的QQ空间,已经荒废好多年了,忽然发现微博居然是最私密的公开场所了!“海狸车间”的主人是一
  •   该公司生产的纯电动低速轿车的核心优势便是使用了高功率锂离子动力电池,这是一项科技成果转化,易安达享有20年的独家使用权。公司负责人说,“六年磨一剑”终于在今
  • 每次夜生活我琪琪金垚都是不眠三霸 这次依然(其他人都在摆烂睡觉 例如天m,非常贴心的给他盖章了外套 虽然后面我用自己的魔音又把他吓醒hhhhhh)到家已经早上了
  • 我都忘记了,今年我就23岁了,忙于工作,我甚至都没有在生日当天来写这条微博,以前我总想着说我不想长大,我不会长大的,现在我也已经变成了能够独当一面的大女孩,这个